next up previous
Next: Schematic Capture Up: COLORADO STATE UNIVERSITY Department Previous: COLORADO STATE UNIVERSITY Department

Login and Account Setup

To use Mentor Graphics you need to perform several setup steps for your account. These steps are:

  1. Set the MGC_HOME variable. This variable tells the mentor graphics programs where the software is loaded at. For the HP-UX computers in the lab that location is /idea. To set this variable put the command setenv MGC_HOME net/cae10/XVI0/idea as the first line in your .cshrc file.
  2. Put the mentor graphics executable files in your path. To do this modify the line in your .cshrc file that starts with set path. Near the beginning of this line add $MGC_HOME/bin. This allows you to access the Mentor graphics Version 8.4 commands. Also, to access the Checkmate and Lsim execution scripts add the directory /net/hickory/XHK0/class/EE571/bin to your path.
  3. Set the MGC_LOCATION_MAP variable. This variable tells the Mentor Graphics programs where to find the location map for the libraries. The location map is a file that tells Mentor Graphics programs where the libraries and project related files are found. For the machines in C211 this file is /idea/etc/mgc_location_map. To set this variable put the command setenv MGC_LOCATION_MAP /idea/etc/mgc_location_map in your .cshrc file.
  4. Tell the Mentor Graphics programs where to find license servers. Add the following line to your .cshrc file: setenv MGLS_LICENSE_FILE 1717@cae10.
  5. Tell the Mentor Graphics programs what type of display you are using. This is done through the environment variables UI_DISPLAY and UI_BITMAP. The HP 700's all use X11 for displays. To tell Mentor Graphics programs to use an X11 display add the lines setenv UI_DISPLAY X11dd and setenv UI_BITMAP X11md to your .cshrc file.
  6. Set the MGC_WD variable. This shell variable tells the mentor graphics tools where to put your files. Unless you are given a value to use enter the command setenv MGC_WD . in your .cshrc file.
  7. Set the EE571 and CSU_EE_VLSI_MODELS variables. These variables allow you to easily access process and parameter files when using Mentor Graphics programs. Thesee variables will be referenced in the Mentor tutorials. Add the lines setenv CSU_EE_VLSI_MODELS /net/hickory/XHK0/class/EE571/models and setenv EE571 /net/hickory/XHK0/class/EE571.


next up previous
Next: Schematic Capture Up: COLORADO STATE UNIVERSITY Department Previous: COLORADO STATE UNIVERSITY Department

Geun Rae Cho
Tue Aug 24 19:15:12 MDT 1999