NSF EUV ERC

Industry Members




Alpine Research Optics is a leading manufacturer of high performance and high precision laser optics.  ARO supplies catalog and custom OEM optics, focusing on high damage threshold thin film coatings and tight tolerance substrates.  We support a wide range of applications in areas including Semiconductor, Research & Development, Medical Instrumentation, Energy Research and Micromachining.  We are located in Boulder, Colorado, in an area well-known for innovation and excellence in photonics.   

 

Andor Technology plc. (Andor) is based in Belfast, Northern Ireland and operates at the high-value end of the global scientific digital camera market. Andor was setup in 1989 out of Queen's University in Belfast, and now employs over 200 people in 15 offices worldwide and distributes its products to 10,000 customers in 55 countries.

As portrayed in our mission statement, the principal business of Andor is the development and manufacture of high performance digital cameras. Further, we look to share our expertise to develop the best solutions for your light measuring problems, distributing our products to a customer base encompassing both the scientific research & industrial communities.

 

 

Applied Materials, Inc. (Nasdaq:AMAT) is the global leader in Nanomanufacturing Technology™ solutions with a broad portfolio of innovative equipment, service and software products for the fabrication of semiconductor chips, flat panel displays, solar photovoltaic cells, flexible electronics and energy efficient glass. At Applied Materials, we apply Nanomanufacturing Technology to improve the way people live.

 

 


Applied Nanotools is a nanotechnology SME based in Edmonton, Canada that specializes in fabrication of membrane-based optical chips for X-ray and EUV applications. Our products include high-resolution Fresnel zone plates for X-ray focusing and several X-ray and EUV mask technologies that include nano-gratings and calibration standards.

Applied Nanotools also provide state-of-the-art micro and nano-fabrication services to enable fast prototyping and testing of various custom nanotechnology projects. This includes the NanoSOI Process Technology (via CMC Microsystems) for fabricating NEMS and nano-photonic devices.

 

ASML is the world's leading provider of lithography systems for the semiconductor industry, manufacturing complex machines that are critical to the production of integrated circuits or chips. Headquartered in Veldhoven, the Netherlands, ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML. ASML has more than 6,500 employees (expressed in full time equivalents), serving chip manufacturers in more than 60 locations in 15 countries.


 

http://www.cvimellesgriot.com/

 

Since 1959, CVI Melles Griot is a global leader in the design and manufacture of products that enable the practical application of light. Headquartered in Albuquerque, New Mexico, CVI Melles Griot operates manufacturing facilities in New Mexico, California, New York, the British Isles, Japan, and South Korea with sales representatives and distributors located worldwide.
CVI Melles Griot serves the semiconductor, biotech, industrial, commercial, aerospace and research industries, and is a leading global supplier of photonics products including optical components and systems, lasers, motion-control systems, laser measurement instrumentation and opto-mechanical hardware. Placing equal focus on customer service and innovative research, CVI Melles Griot is a major OEM supplier, proficient in high-volume fabrication of standard and custom optical components, advanced thin-film coatings, and complex optical systems incorporating lasers, optics, and alignment systems.
Today, CVI Melles Griot is dedicated to innovation and customer service by offering a full spectrum of design and manufacturing expertise, and maintaining an industry unique build your own catalog that allows customers the flexibility to customize parts to their specific application.

 

Cymer, Inc. is the market leader in developing light sources, used by chipmakers worldwide as the essential light source for DUV lithography systems. Cymer's light sources have been widely adopted by the world's top chipmakers and the company's installed base comprises more than 3,300 systems. Continuing its legacy of leadership, Cymer is currently pioneering the industry's transition to EUV lithography, the next viable step on the technology roadmap for the creation of smaller, faster chips. The company is headquartered in San Diego, Calif., and supports its customers from numerous offices around the globe.

 

 

Energetiq Technology, Inc. is a developer and manufacturer of advanced light sources that enable the manufacture and analysis of nano-scale structures and products. Used in complex scientific and engineering applications such as analytical instruments for life sciences and leading edge semiconductor manufacture, Energetiq's light products are based on new technology that generates high brightness across the spectrum, from 1nm to 1000nm and beyond, with high reliability, long operating life, and in a compact package.

 

 

EUV Technology manufactures custom R&D instrumentation for the utilization and analysis of short wavelength electromagnetic radiation-soft x-rays and extreme ultraviolet (EUV). We specialize in metrology and calibration tools for EUV lithography.

Through our SuMMIT Software Division we also develop and market SEM analysis software for the lithography community.

 

http://www.globalfoundries.com/

 

GLOBALFOUNDRIES is the world's first full-service semiconductor foundry with a truly global manufacturing and technology footprint. Launched in March 2009 through a partnership between AMD [NYSE: AMD] and the Advanced Technology Investment Company (ATIC), GLOBALFOUNDRIES provides a unique combination of advanced technology, manufacturing excellence and global operations. With the integration of Chartered in January 2010, GLOBALFOUNDRIES significantly expanded its capacity and ability to provide best-in-class foundry services from mainstream to the leading edge. GLOBALFOUNDRIES is headquartered in Silicon Valley with manufacturing operations in Singapore, Germany, and a new leading-edge fab under construction in Saratoga County, New York. These sites are supported by a global network of R&D, design enablement, and customer support in Singapore, China, Taiwan, Japan, the United States, Germany, and the United Kingdom.

 

 

JMAR, LLC is a leading innovator of laser based product solutions; specializing in microbiological detection of organisms in water. Coupled with its established expertise in building advanced laser systems, JMAR provides solutions for a broad range of military, industrial and commercial applications.

The Company draws on more than twenty years of experience and twenty-nine patents in photonics, laser and detection technologies to develop products and solutions

 

 

KLA-Tencor Corporation, a leading provider of process control and yield management solutions, partners with customers around the world to develop state-of-the-art inspection and metrology technologies. These technologies serve the semiconductor, data storage, LED, photovoltaic, and other related nanoelectronics industries. With a portfolio of industry-standard products and a team of world-class engineers and scientists, the company has created superior solutions for its customers for more than 30 years. Headquartered in Milpitas, California, KLA-Tencor has dedicated customer operations and service centers around the world.

 

 

KMLabs (Kapteyn-Murnane Laboratories, Inc.) is a pioneer and leading manufacturer of high-performance, cost-effective, ultrafast, femtosecond laser systems spanning the mid-infrared, near-infrared, visible, UV, VUV, and EUV regions. Oscillators include the new, robust, sealed-box Swift series. Amplifiers include the Wyvern series single-box regenerative amplifiers, capable of pulse repetition rates up to 1MHz or pulse energy up to 5mJ, and the <25fs Dragon series.  Multi-stage amplifier systems can attain >30W or 30mJ.  In the EUV region, KMLabs offers our XUUS (e X treme U ltraviolet U ltrafast S ource); a compact, tabletop, highly-coherent, source capable of operating at selectable wavelengths  between 13 and 200nm, and specifically including 13.5 m.

 

 

Luxel Corporation is the world leader in ultrathin freestanding films. The company was founded in 1973. Over its 35 year history, Luxel Corporation has pioneered the development of new x-ray and extreme ultraviolet filter materials and techniques for fabricating freestanding ultrathin foils. The company serves industry and university and government research institutions, both foreign and domestic, with thin foil products for x-ray, extreme ultraviolet, life science, and fusion energy applications.

 

 

Newport Corporation is the leading global supplier of advanced photonics solutions to Make, Manage and Measure LightSM. Our innovative technology portfolio encompasses high-power semiconductor, solid-state and ultrafast lasers, photonics instrumentation, submicron positioning systems, vibration isolation systems, optical components and integrated solutions. Our major markets include scientific research; microelectronics manufacturing; aerospace; defense and security; life and health sciences; and precision industrial manufacturing. Newport has integrated expertise from Spectra-Physics® Lasers, Oriel® Instruments, Richardson Gratings and Corion® Filters, enabling our customers to pioneer new innovations in areas such as semiconductor manufacturing and inspection, ultrafast research, analytical chemistry, drug development, and homeland security.

 

 

 

 

http://www.princetoninstruments.com/

 

 

 

Princeton Instruments designs and manufactures high performance CCD, ICCD, emICCD, EMCCD and X-ray cameras; spectrographs; and optics-based solutions for the scientific research, industrial imaging, and OEM communities. We take pride in partnering with our customers to solve their most challenging problems in unique, innovative ways.

 

 

 

SEMATECH is the world's catalyst for accelerating the commercialization of technology innovations into manufacturing solutions.

By setting global direction, creating opportunities for flexible collaboration, and conducting strategic R&D, SEMATECH delivers significant return on investment to our semiconductor and emerging technology partners. SEMATECH's Advanced Technology divisions conduct state-of-the-art research on the technical challenges and costs associated with developing new materials, processes, and equipment for semiconductor manufacturing including immersion and EUV patterning and resists, low k-effective materials , and high-k materials and next-generation transistors

 

 

XEI Scientific manufactures and sells the Evactron De-Contaminator, which uses a unique and compact RF plasma source to make oxygen radicals for downstream plasma ashing of hydrocarbon contamination on EUV optics.  It can also be used to make hydrogen radicals.  The Evactron De-Contaminator successfully improved performance in scanning electron microscopes.  XEI Scientific is working to see if similar results are possible in the EUV lithography.

 

 

XUV Lasers was founded to make compact extreme ultraviolet/soft X-ray lasers and related technology widely available for scientific and industrial applications. The company commercializes laser technology that includes ultrashort wavelength lasers developed at Colorado State University.

 

 
The foundation for the EUV ERC was provided by the Engineering Research Centers Program of the National Science Foundation under NSF Award Number EEC-0310717. Any opinions, findings and conclusions or recommendations expressed in this material are those of the author(s) and do not reflect those of the National Science Foundation.
Last updated: 02/10/14